A Robust CTS algorithm using the H-Tree to minimize local skews of higher frequency targets of the SOC designs | Semantic Scholar (2024)

Skip to search formSkip to main contentSkip to account menu

Semantic ScholarSemantic Scholar's Logo
@article{PBhaskara2020ARC, title={A Robust CTS algorithm using the H-Tree to minimize local skews of higher frequency targets of the SOC designs}, author={Mr. P.Bhaskara and Mr. P.V.S.R. Bharadwaja}, journal={2020 7th International Conference on Smart Structures and Systems (ICSSS)}, year={2020}, pages={1-5}, url={https://api.semanticscholar.org/CorpusID:221917186}}
  • Mr. P.Bhaskara, Mr. P.V.S.R. Bharadwaja
  • Published in 7th International Conference… 1 July 2020
  • Engineering, Computer Science
  • 2020 7th International Conference on Smart Structures and Systems (ICSSS)

This work proposes practical ASIC strategies including the different test case techniques for the flexible building of a clock strategy which is called “H-Tree with local skews”, which is going to build a robust strategy covering the area and power.

2 Citations

Background Citations

1

Methods Citations

1

Figures and Tables from this paper

  • figure 1
  • figure 2
  • figure 3
  • figure 4
  • figure 5
  • figure 6
  • figure 7
  • figure 8
  • table II

2 Citations

Lightweighted Shallow CTS Techniques for Checking Clock Tree Synthesizable Paths and Optimizing Clock Tree in RTL Design Time
    Nayoung KwonDaejin Park

    Engineering, Computer Science

    2023 14th International Conference on Information…

  • 2023

This paper proposes to predict roughly pre-estimated CTS results using an RTL source in which temporary logic using random buffer insertion is placed before the route process to perform optimized CTS and minimizing resources according to the RTL structure to be designed.

Application Specific Digital and Mixed-Signal Integrated Circuit Designs Based on Algorithm Hardware Co-Design
    VishnuPriya ThotakuraSankararao MajjiS. KaranamT. V. V. Pavan KumarTulasi Radhika PatnalaH. S

    Engineering, Computer Science

    2022 First International Conference on Electrical…

  • 2022

Two mixed-signal devices have been developed using the proposed approaches, and one of those devices (e.g., ABACUS) is part of an ESPRIT project partially funded by the European Commission.

  • 3

10 References

An efficient buffer sizing algorithm for clock trees considering process variations
    Chao DengYici CaiQiang ZhouZhuwei Chen

    Computer Science, Engineering

    2015 6th Asia Symposium on Quality Electronic…

  • 2015

This paper proposes an efficient buffer sizing algorithm to solve the skew optimization problem in presence of process variations, and makes a quantitative estimation of the skew distribution under Monte-Carlo SPICE simulations.

Clustering of flip-flops for useful-skew clock tree synthesis
    C. TanRickard EwetzCheng-Kok Koh

    Computer Science, Engineering

    2018 23rd Asia and South Pacific Design…

  • 2018

A Clock tree synthesis methodology that incorporates clustering with a previously published useful-skew clock tree synthesis technique to minimize the clock wire length is introduced.

  • 8
Clock Tree Skew Minimization with Structured Routing
    Pinaki Chakrabarti

    Computer Science, Engineering

    2012 25th International Conference on VLSI Design

  • 2012

This work proposes a method where skew minimization is mainly achieved by structured routing of clock nets, and shows that with this proposal, for a few real designs from industry, it could reduce the skew up to 6.5% with increase in total wire delay up to 1.89%.

  • 8
A study and design of CMOS H-Tree clock distribution network in system-on-chip
    W. LooKok-Siang TanY. Teh

    Engineering, Computer Science

    2009 IEEE 8th International Conference on ASIC

  • 2009

A design of a low skew clock distribution network is presented based on the TSMC 0.18µm CMOS technology and the design methodology for the chosen H-Tree clock network topology validated the 3-segment π-model.

  • 21
Gated low-power clock tree synthesis for 3D-ICs
    Tiantao LuAnkur Srivastava

    Computer Science, Engineering

    2014 IEEE/ACM International Symposium on Low…

  • 2014

This paper proposes a simulated annealing(SA) based algorithm along with a force-directed TSV placer to decide the selection of shutdown gates and the locations of TSVs under layout whitespace constraint to minimize 3D clock power.

  • 13
Optimal Generalized H-Tree Topology and Buffering for High-Performance and Low-Power Clock Distribution
    Kwangsoo HanA. KahngJiajia Li

    Engineering, Computer Science

    IEEE Transactions on Computer-Aided Design of…

  • 2020

This paper studies the concept of a generalized H-tree (GH-tree)—a topologically balanced tree with an arbitrary sequence of branching factors—and proposes a dynamic programming-based method to determine optimal clock power, skew, and latency, in the space of GH-tree solutions.

  • 22
  • PDF
Obstacle-aware symmetrical clock tree construction
    Meng LiuZhiwei ZhangWenqin SunDonglin Wang

    Computer Science, Engineering

    2017 IEEE 60th International Midwest Symposium on…

  • 2017

This paper proposes a symmetrical clock tree synthesis algorithm for top-level design, including tree architecture planning, matching, merging and embedding, and integrates buffer insertion and obstacle processing into the algorithm flow.

  • 7
Robust Chip-Level Clock Tree Synthesis
    A. RajaramD. Pan

    Computer Science, Engineering

    IEEE Transactions on Computer-Aided Design of…

  • 2011

Effective CCTS algorithms to simultaneously reduce multicorner skew and clock divergence are proposed and Experimental results on several test-cases indicate that the methods achieve 30% reduction in the clock divergence with significantly improved multicorNER skew variance, at the cost of 2% increase in buffer area and 1% increase on wirelength.

  • 25
  • PDF
Power-efficient and slew-aware three dimensional gated clock tree synthesis
    Minghao LinHeming SunS. Kimura

    Computer Science, Engineering

    2016 IFIP/IEEE International Conference on Very…

  • 2016

This paper presents a three dimensional (3D) gated clock tree synthesis (CTS) approach, which consists of two steps: 1) abstract tree topology generation; and 2) 3D gated and buffered clock routing.

  • 10
High-Speed Clock Network Design
    Qing K. Zhu

    Computer Science, Engineering

    IEEE Circuits and Devices Magazine

  • 2004

Pseudocode listing in most of the chapters enables quicker understanding and implements various algorithms discussed in this introduction to lossless compression.

  • 23

Related Papers

Showing 1 through 3 of 0 Related Papers

    A Robust CTS algorithm using the H-Tree to minimize local skews of higher frequency targets of the SOC designs | Semantic Scholar (2024)
    Top Articles
    Latest Posts
    Article information

    Author: Duane Harber

    Last Updated:

    Views: 6160

    Rating: 4 / 5 (51 voted)

    Reviews: 90% of readers found this page helpful

    Author information

    Name: Duane Harber

    Birthday: 1999-10-17

    Address: Apt. 404 9899 Magnolia Roads, Port Royceville, ID 78186

    Phone: +186911129794335

    Job: Human Hospitality Planner

    Hobby: Listening to music, Orienteering, Knapping, Dance, Mountain biking, Fishing, Pottery

    Introduction: My name is Duane Harber, I am a modern, clever, handsome, fair, agreeable, inexpensive, beautiful person who loves writing and wants to share my knowledge and understanding with you.